#その他コンピュータ

VPSにVPNをつなげてredmineを使えるようにした。

最近VPSなる物とVPNなる物が出てきたので契約して使っています。 VPS,VPNと言われても???でした1月前までは。。 今までは自宅のwin8上ににtrac/svnを設置して使用していました。 ただ出先の事が多くてファイルを更新できていなく。。結局いろいろな日付…

nexus 7 導入

nexus 7導入しました。 ここの所、電車通勤していおり、スマホでネットサーフィン・青空文庫・スキャンした本を見ている。 そして、nexus7導入。。ありふれた結論だけどスマホの電池切れで電話をうける事に不安!! ガラ携帯+7inchタブレット+ipod+モバルー…

TDS-540A 近代化改修~~~

うちのTDS-540A(もちろん中古だよ)をwebで検索すると、内部基板の電解コンデンサ取り替え記事が出てくる。 何でも、この時期に使われていた電解コンデンサで4級塩を使用している物は、必ず液漏れをおこしてしまう。。汗) **液漏れは無かったよ。。 AD変…

我が家にappleが~~~

ついにappleユーザになってしまった。。汗) 昔 apple computer狂いの上司のおかげで、appleアレルギーになってしまったけど。。 もう大丈夫みたいです。 iphone4s白に見えますかね?? よく見ると。。ipodtouchだったり。。汗) スマホが欲しくてリサ~~…

HP -16C プログラマー向け電卓

張り紙のP~は気にしないでね。。下に俺の名前が張ってある。 HP-16Cは1980年代に活躍していた電卓で当時はプログラマー向け機能満載が売りだった。 俺はデジタル回路の設計用に購入。。当時 5万円??。。覚えてないけど高かった~~事だけは覚えている。…

わたしも自炊派に~~ ②

結局 本の自炊は程々にして、その他の書類をスキャンした。 Scansnap S1500の能力って 40page/min。。 400pageなら10min おお~~速いじゃん!! だけど x100冊なら 1000min -> 16.6h でも。。その他の準備時間がこの数倍かかるからね。。大汗) 自炊代行業…

わたしも自炊派に~~

PFUのScansnap S1500+楽2を導入して私も自炊派になりました。。汗) 思うところがあり本とかその他の紙資料を電子化(PDF)してみたくなり 上記に示した物を導入してしまった。 理由の一つを上げるとNETで調べる情報では偏ってしまう?? と常々思っていた…

systemVerilog/verilog/VHDLの抽象度の違いは??

さて質問。。systemVerilog/verilog/VHDLの抽象度の違いは?? 答え 合成出来る部分 RT(レジスタートランスファ)レベルで同じだぜ~~~ その他の部分は systemVerilog ->randomize() 制約によるプログラムが抽象度高いぜ~~ あとは皆同じだね。。verilogだ…

アルデックのALINTでuart16550(systemVerilog)をルールチェック~~してみる。

ルールチェッカにどんな思いであります?? 私は幸いに、頭から湯気が出る程の思いでは~~ありません。。喜) 知り合いのAさんは発狂寸前になったことが有ると言っていた。。 何でもデバッグが終わり明日から早く帰れる~~と感慨にふけっていると 突然上司…

systemVerilogのgenerate文使ってます??

systemVerilogのgenerate文を使ってます?? generate文自体はverilog2001から有りVHDLなら最初から('80年代末頃)から有った。 この文はRTLでは無くてRTLを生成してくれる物。。ちょと言い過ぎだねRTLの接続を簡易化してくれる物と思って良いんじゃないか…

aldecでpslを試してみる。

アサーション技術の本丸はPSLでしょう。。 と言うことでaldecのRiviera-PROで試してみた。 簡単にPSLの解説。。 SVAやOVLより強力なアサーション用の記述言語で verilogソースに入れる事も出来るし、別ファイルとすることも出来る。 物の本には数学的に正確…

aldecでcovergroupを使ってみる。

systemVerilogではcovergroupのcoverpointと言う物が使える。 これはある条件の時に指定したデータになったことをカウントしてくれる。 たとえば、カウンターが止まったときのfullに成った回数を数える事をしてくれる。 下記にサンプルを載せておくよ。 coun…

OVMふたたび・② 検証メソドロジを鳥瞰する。

ひさびさにOVMをいじるに当たって忘れていることもあり、まず全体を鳥瞰する図を作って見た。 中心に来るのは検証メソドロジとUVM。。 OVMはどうしたと言われそうだが、現状ではUVMに収斂しつつある。 ただしUVMはα版があるだけで仕様やバグがフィックスして…

Riviera-PROの最新版はIEEE1800-2009がデフォだそうです。。汗)

日本語マニュアルは更新が遅い。。 別にaldecに限ったことでないのは周知の事だがちょっと嵌りました。 日マニュアルにはデフォルトがverilog-2001で-v2kオプションを付けたと同等 などと書いてあって。。 -sv2k5(systemVerilog-2005)を付けたらエラー連発。…

systemVerilogのimport問題 解決。。喜) 書庫間違えました。。

systemVerilogのimport問題 解決。。喜) 書庫間違えました。。 ここを参照してね!! http://blogs.yahoo.co.jp/sawaragikun/25876582.html

R8Cのウォッチドッグ設定レジスタってリセットベクターの上8bitに割り当ててあるなんて~~汗)

R8Cのウォッチドッグ設定レジスタってリセットベクターの上8bitに割り当ててあるなんて~~汗) 詳細は下記 http://blogs.yahoo.co.jp/sawaragikun/25706945.html 書庫を間違えました。。汗)

R8Cのウォッチドッグ設定レジスタってリセットベクターの上8bitに割り当ててあるなんて~~汗)

R8Cのウォッチドッグ設定レジスタってリセットベクターの上8bitに割り当ててあるなんて~~汗) 最近はR8Cをちょっといじってます。 ある仕事でM16CのプログラムをR8Cへ移植を行っているが。。ウオッチドッグ制御ではまりました。。汗) R8Cのハードウエアマ…

またもや外人からのお誘いメールが~~

今度は "Hi, 沢良木東くん (仮名)" で来ているし、業務用を避けて個人で使用しているアドレスへ。。と言うことは引き抜きメールかよ!! お誘いいただいた会社は西海岸。。汗) HPを覗くと設立2年目ぐらいのIP開発&AISC、FPGAソリューションの会社。 会…

外人からsystemverilog and OVM のリソース必要!!のメールが。。汗)

LGPLでuart16550-systemVerilogのRTLを公開してから一月半たちました。 反響は少々かな。 最初の反響はファイルをダウンロードできない。。メール tarで固めたファイルがリンク切れでダウンロードできなくなっていたので、 もう一つの方法。。SVNでチェック…

cygwinのgccバージョン切り替え・GCCをver4 -> ver3へ

win7に変えたのでcygwinもインストールし直した。 でも気がかりなのがgccのバージョン。。 昨年の夏頃からcygwinはver1.7系へ それに伴いgccもver3->ver4へ。 困るのが古いプログラムのリコンパイル。。toppers/jsp-h8の開発環境はgcc-ver3でないとコンパイ…

めでたく??winXP->7へ更新。

ウチもwinXP->7へアップデートしました。 めでたいかどうかはまだ??ですがとりあえず使えます。 modelsim OK, trac OK, astah OK cygwin OK, windows7

systemVerilogサンプル・その6 casting

Quaruts2のhelpをcastを検索すると 4.14-4.16 Casting Supported と出てくるので試してみた。 case (timer.state) state_t'(IDLE) : begin if(timer.cont_reg.count_en == 1'b1) timer.state <= #1 state_t'(LOAD) ; 実は timer_coreとuart16550にenum値でID…

systemVerilogサンプル・その5 extends打ち止め。。

verilog2001の仕様書を見たときにどうして無いの思った所が 例のユーザー定義型ともう一つpackage文。。 modelsimはシングルカーネルを誇っているのにVHDLはOK!で verilog駄目。。なんでや(怒)と思ったね!! やっとsystemVerilogでpackage文も使えるよ…

systemVerilogサンプル・その4 打ち止め。。

systemVerilogは合成出来る部分もかなりな物です。。 →netから拾ってきたuart16550をmyバスへつなげようと中身を見たらゲロが出そうなコード。。。 仕様書だけもらって作り直した。uart16550程度ならチョロチョロと自分で書いた方が速いと 思えるだけの記述…

systemVerilogサンプル・その3

structを使ってみる。 verilog-95を使っていて。。VHDL羨ましいな~~と指をくわえていたところが1点だけ有った。 VHDLはユーザー定義のデータ型を使用できて合成も出来る。→VHDLにしとけばと思っていたね。。 →SPARC LEON-2のコードにユーザー定義のデータ…

systemVerilogサンプル・その2

interface接続例を載せよう。。合成可能RTLだ~~~ →Quartus2-9.1sp1に限るぜ。。 信号の接続にもっと賢そうな技はないの??と思うかもしれないけど合成する部分は確実性が大事だ。 net+sdfでシミュレーションしたら動かないなんてよくある。。 回路は先日…

systemVerilogサンプル。

ネットを検索してもsystemVerilogの合成可能サンプルはほとんど無い。 ということで、簡単なtimerのRTLを載せよう。 mips-cpuに取り付けるtick-timerを探したが簡単なのが無くて作ってしまった。 →機能がいっぱいのtimer向けにドライバープログラムを作るよ…

今日はMIPS野郎でした。

非常に簡易的ながらMIPSの逆アセンブルがmodelSim上で出来るようになったので 割り込みハンドラーを作った。 radix defineありがたや~~ 下記参照。。 →http://blogs.yahoo.co.jp/sawaragikun/24074242.html 実はMIPSのアセンブルプログラムを作るのは…

modelsimにradix defineが~~

modelsim-ver6.6の正式リリースがありリリースノートを見たら radix defineなる機能が付いたとあり、さっそく動かしてみた。 注)radix defineとは波形表示の時の基数(HEXとかBIN)をユーザで定義して追加できる。 試しにMIPS-cpuの命令コードで試してみた。 …

modelSimPEにclass browserが。。

modelsimのver6.6が正式リリースがありリリースノートを見たら class browserなる物が付いたとあり、さっそく動かしてみた。 クラス関係の難しそうなovm-2.1のcallbacksを動かしてみたら。。 cd ./ovm-2.1/examples/callbacks ./run_questa [RET] class brow…