OVMふたたび。。またOVMをいじってみたくなった。

縁あってアルデックのRiviera-PROを使用することになった。
Riviera-PROはfullスペックのHDLシミュレーターでランダム検証機能もついている。

OVMも動くらしいので走らせてみたくなった。OVMの解説も含めてのblogにまた掲載して行こう。

ということでOVMサンプルを動かしてみた。

動作の環境は いつもの

  win7+cygwin+xterm+tcsh

早速アルデックのサイトをのぞいて見ると
”+define+ALDEC”をつけろなんて。。汗)
まあしょうがないかサポートの発表が有ったのは今年の6月で
まだ環境の整備中と言うところか。

OVMのソースはRiviera-PROをインストールしたディレクトリの中
..\Aldec\Riviera-PRO-2010.06\vlib\ovm-2.1.1
にある。
これをインクルードして動かす

とりあえず 
\ovm-2.1.1\basic_examples\module
を動かしてみた

環境変数aldecを設定して→Riviera-PROをインストールした所

systemVerilogオプションはなぜか付けてはいけない。。汗)なぜだ~~
-sv2k5


vlib work
 vlog +incdir+"$aldec/vlib/ovm-2.1.1/src" +define+ALDEC -err VCP5417 W9 -err VCP2822 W9 -err VCP3003 W9 test.sv -dbg
 vsim -gui top

GUIが立ち上がったら run -allで走らせる。

# KERNEL: SLP loading done - time: 0.1 [s].
# KERNEL: SLP simulation initialization done - time: 0.0 [s].
# KERNEL: Kernel process initialization done.
# Allocation: Simulator allocated 18215 kB (elbread=6149 elab2=11190 kernel=875 sdf=0)
# KERNEL: ----------------------------------------------------------------
# KERNEL: OVM-2.1.1
# KERNEL: (C) 2007-2009 Mentor Graphics Corporation
# KERNEL: (C) 2007-2009 Cadence Design Systems, Inc.
# KERNEL: ----------------------------------------------------------------

。。。。。中略 。。。。。

# KERNEL: OVM_INFO :    1
# KERNEL: OVM_WARNING :    1
# KERNEL: OVM_ERROR :    0
# KERNEL: OVM_FATAL :    0
# KERNEL: ** Report counts by id
# KERNEL: [CFGNTS]     1
# KERNEL: [RNTST]     1
# KERNEL: ----------------------------------------------------------------------
# KERNEL: Name                     Type                Size                Value
# KERNEL: ----------------------------------------------------------------------
# KERNEL: mu                       myunit              -                       -
# KERNEL:   l1                     lower               -                       -
# KERNEL:     data                 integral            32                   'h37
# KERNEL:     str                  string              2                      hi
# KERNEL:   l2                     lower               -                       -
# KERNEL:     data                 integral            32                   'h65
# KERNEL:     str                  string              2                      hi
# KERNEL:   a                      array               5                       -
# KERNEL:     [0]                  integral            32                    'h0
# KERNEL:     [1]                  integral            32                    'h1
# KERNEL:     [2]                  integral            32                    'h4
# KERNEL:     [3]                  integral            32                    'h9
# KERNEL:     [4]                  integral            32                   'h10
# KERNEL: ----------------------------------------------------------------------
# RUNTIME: Info: RUNTIME_0068 ovm_root.svh (505): $finish called.
# KERNEL: Time: 100 ps,  Iteration: 7,  Instance: /ovm_root/ovm_root::run_test,  Process: @INTERNAL#505_1@.
# KERNEL: stopped at time: 100 ps
# VSIM: Simulation has finished. There are no more test vectors to simulate.

こんな感じでリザルトができてます。

今日はここまで。。

systemVerilog OVM / UVM aldec