久々のOVMネタ。。OVM解説本のサンプル動かしてみました。

Verification Engineer氏のblogにOVM解説本が出るとの報あり。
 アマゾンで約\15k.-也
 http://blogs.yahoo.co.jp/verification_engineer/53858884.html
    \15kですか。。軽登山靴が買えるぞ~~~
           DAのチタンスプロケットも買えるぞ~~~
           レーシングワンピースも買えるぞ~~~

更にサンプルプログラムがダウンロード出来る!!
下記blog参照。。
で早速ダウンロードしてきて動かしてみた。
Questaを使わなくても動作するのが
ディレクトリ"./05_testbench_fundamentals"
までで解説本の5章??までかな。

* 無料のModelsim-Altera6.4aで動くよ。。 

動かし方はrun_questaのあるディレクトリで
    ./run_questa [RET]

 注)その① run_questaはshellスクリプトなのでcygwin及び同等品を入れておく必要があり。
   その② ovm2.0.1を導入して環境変数OVM_HOMEを設定しておくこと。

こんな感じでリザルトが出てきます。
........
........
# ** Report counts by severity
# OVM_INFO : 3079
# OVM_WARNING :    0
# OVM_ERROR :    0
# OVM_FATAL :    0
# ** Report counts by id
# [MONITOR]  3076
# [RNTST]     1
# [report]     1
# [run]     1
# ** Note: $finish    : C:/HOME/...../ovm-2.0.1/src/base/ovm_root.svh(45
1)
#    Time: 184590 ps  Iteration: 7  Instance: /ovm_pkg::ovm_root::run_test
 
GUIを立ち上げてステップ実行してみたりするときはrun_questaのvsim行へ
    -gui 追加と -c を削除
でOK、ステップ実行してみるとOVMの理解が進むで~~

systemverilog OVM 回路検証 LSI検証