ovm_do_withの中身はrandomize() .... with ....ですか。

VMMと同様にmodelsim PEで動くようにしようと、とりあえずexsample/xbusをコンパイルしてみる。

vlogはwarningが数十出るが通った。
 でもvsimはやっぱりだめ。。例によってQuestaを速く買えと叫んでいるようなError
-----------
   Error: Unable to checkout verification license - testbench generation feature (randomize, randcase, randsequence, covergroup) is
only supported with QuestaSim.
-----------

Errorの元を探ると
  ovm_sequense_defines.svh
中の
`define ovm_do_with(.....
さらに中を見ると
assert(OVM_SEQUENCE_ITEM.randomize() with CONSTRAINTS ) else begin \
ovm_report_warning("RNDFLD", "Randomization failed in ovm_do_with action"); \

assertはifに置き換えればOKだと思うけど, .randomiz() with ...はどうしよう。
ovm_report_varning("//// → VMMではvmm_fatalだったけどOVMはwarning扱い??

疲れた、今日はおしまい。

systemverilog OVM VMM modelsim PE